• 热点
  • 图片
  • 科技
  • 娱乐
  • 游戏
  • 体育
  • 汽车
  • 财经
  • 搞笑
  • 军事
  • 国际
  • 时尚
  • 旅游
  • 探索
  • 育儿
  • 养生
  • 美文
  • 历史
  • 美食
  • 当前位置: 小苹果范文网 > 军事 > 正文

    [进程顺序语句块内的语句是严格按语句先后次序来执行的]通信顺序进程

    时间:2018-07-12 20:00:36 来源:小苹果范文网 本文已影响 小苹果范文网手机站

    (1)多进程并发

    在构造体中可以没有进程,也可以有一个或多个进程。当构造体中有多个进程时,这些进程是并行执行的,只要满足某个进程的激活条件,这个进程就被激活。通过信号的传递,来实现各个PROCESS进程之间的通信。如例5-7所示。

    【例5-7】多进程的执行示例

    进程顺序语句块内的语句是严格按语句先后次序来执行的

    上例中,z是连接两个进程的桥梁。

    (2)进程的处理机制

    当进程被激活时,进程顺序语句块内的语句是严格按语句先后次序来执行的,但从仿真时钟上看,所有语句又都是发生在该进程被激活的同一时刻。对于顺序语句块内的信号赋值语句,其延时时间都是从进程激活的同一时刻算起的。

    由于信号表征的是电路中真实存在的互连线,对信号的赋值是存在延时的,信号延时分为零延时、传播延时、惯性延时,依据延时类型的不同,进程的处理机值也略有不同。

    进程顺序语句块内的语句是严格按语句先后次序来执行的

    进程中零延时事件的处理

    在信号赋值中,若没有写入延时表达式,则称为零延时。零延时信号赋值称为零延时事件。虽然被称为零延时,但实际上依然存在一个“无限小”的传播延时,即Δ延时。在仿真中,为了在进程中体现这一点,进程中所有信号的“值”的更新并不是立即更新的,而是在所有被激活进程的零延时信号赋值语句的表达式运算执行完毕后,再经Δ延时后更新的。如图5.2所示。

    由图5.2可以看到,在初始化阶段,所有进程执行一遍,然后仿真时间刻度随着程序的执行前进。所有进程的执行被分为了两个阶段:执行阶段,信号值更新阶段。注意,在执行阶段,顺序语句严格按语句先后次序顺序执行,但从仿真时钟上看,所有语句又都是发生在该进程被激活的同一时刻;信号值更新阶段是在所有被激活的进程执行完毕后。仿真周期只有所有被激活的进程执行完毕、信号值更新完毕后,才会结束当前仿真周期,进入下一个仿真周期,仿真时间前进,否则,仿真时间会停留在该时刻。

    进程顺序语句块内的语句是严格按语句先后次序来执行的

    图5.2 进程中零延时事件的处理机制

    • 生活居家
    • 情感人生
    • 社会财经
    • 文化
    • 职场
    • 教育
    • 电脑上网